作业辅导网

 找回密码
 立即注册

QQ登录

只需一步,快速开始

作业辅导、毕业论文、学业辅导,请加qq2762169544(微信:2762169544)
查看: 1511|回复: 0
打印 上一主题 下一主题

16春《EDA技术》在线作业2

[复制链接]

4万

主题

4万

帖子

4万

积分

管理员

Rank: 9Rank: 9Rank: 9

积分
48419
跳转到指定楼层
楼主
发表于 2016-5-3 22:05:39 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
需要购买此门答案请加qq2762169544(微信:2762169544)
16春《EDA技术》在线作业2
试卷总分:100       测试时间:--
单选题  


一、单选题(共 20 道试题,共 100 分。)V 1.  VHDL程序基本结构包括
A. 实体、子程序、配置
B. 实体、结构体、配置、函数
C. 结构体、状态机、程序包和库
D. 实体、结构体、程序包和库
      满分:5  分
2.  国际上生产FPGA/CPLD的三家主流公司为
A. Altera、Xilinx、Lattice公司
B. Altera、Marax、Lattice公司
C. IBM、Xilinx、Lattice公司
D. Altera、Xilinx、AD公司
      满分:5  分
3.  在VHDL语言中,下列对时钟边沿检测描述中,错误的是
A. if clk’event and clk = ‘1’ then
B. if falling_edge(clk) then
C. if clk’event and clk = ‘0’ then
D. if clk’stable and not clk = ‘1’ then
      满分:5  分
4.  下面哪个选项不是信号和变量的不同特性
A. 赋值方式不同
B. 定义位置不同
C. 赋值行为不同
D. 综合结果不同
      满分:5  分
5.  下面哪个标识符是符合VHDL语法的合法标识符
A. 4plus
B. v-4
C. the_signal
D. buffer
      满分:5  分
6.  Altera FLEX 10K 系列器件中的EAB大小为______________位。
A. 256
B. 512
C. 1024
D. 2048
      满分:5  分
7.  EAB中RAM的大小可灵活配置,Altera FLEX 10K 系列器件中的EAB作RAM用时,有哪几种配置模式
A. 512x8,1024x4,2048x2,4096x1
B. 256x8,512x4,1024x2,2048x1
C. 256x4,512x2,1024x1
D. 256x16,512x8,1024x4,2048x2
      满分:5  分
8.  一个完整结构的结构体由哪两个基本层次组出
A. 数据说明和进程
B. 结构体说明和结构体功能描述
C. 顺序描述语句和并行执行语句
D. 结构体例化和结构体赋值
      满分:5  分
9.  Altera FLEX 10K 系列器件主要由以下哪几部分组成
A. CLB\IOB\配置存储单元\可编程互连
B. EAB\LAB\快速通道互连\I/O
C. GLB\全局布线区\输出布线区\加密单元
D. EAB\LAB\GLB\IOB
      满分:5  分
10.  进程语句的启动条件是
A. wait语句或敏感信号量
B. wait语句
C. 敏感信号量
D. wait语句或且敏感信号量
      满分:5  分
11.  重载操作符的定义一般见于 IEEE 库的哪几个程序包
A. std_logic_arith、std_logic_unsigned、std_logic_signed
B. std_logic_arith、std_logic_unsigned、std_logic_1164
C. std_logic_unsigned、std_logic_1164、std_logic_arith
D. std_logic_1164、std_logic_arith、std_logic_unsigned、std_logic_signed
      满分:5  分
12.  MAX+PLUS的图形文件类型是(后缀名)是
A. *.scf
B. *.vhd
C. *.gdf
D. *.sof
      满分:5  分
13.  FLEX10K 结构中的最小单元是
A. EAB
B. LAB
C. LE
D. CLB
      满分:5  分
14.  变量是一种局部量,变量可在以下哪些位置进行定义
A. process、architecture、entity
B. process、function、procedure
C. function、entity、package
D. entity、package、procedure
      满分:5  分
15.  在VHDL中,用语句_____表示clock的下降沿
A. clock’EVENT
B. clock’EVENT  AND  clock=’1’
C. clock=’0’
D. clock’EVENT  AND  clock=’0’
      满分:5  分
16.  值为“1110”的标准逻辑矢量,进行sll运算后值为____________ 。
A. 1100
B. 1010
C. 1110
D. 0111
      满分:5  分
17.  现代EDA设计思想是______________。
A. 自下而上
B. 自外而里
C. 自上而下
D. 自里而外
      满分:5  分
18.  值为“1110”的标准逻辑矢量,进行sla运算后值为____________ 。
A. 1100
B. 1101
C. 1110
D. 1000
      满分:5  分
19.  根据VHDL语法规则,下面哪个标识符是非法的标识符
A. not—Ack
B. constant
C. FFT_1024_1
D. state0
      满分:5  分
20.  字符串型文字X“3B”的长度又为____________。
A. 12
B. 4
C. 8
D. 16
      满分:5  分
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏
需要购买此门答案请加qq2762169544(微信:2762169544)
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

作业辅导、毕业论文、学业辅导,考试辅导资料,请加qq2762169544(微信:2762169544)

Archiver|手机版|小黑屋|作业辅导网  

GMT+8, 2024-5-19 02:20 , Processed in 0.168789 second(s), 24 queries .

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回复 返回顶部 返回列表