李老师 发表于 2016-5-3 22:06:09

16春《EDA技术》在线作业3

16春《EDA技术》在线作业3
试卷总分:100       测试时间:--
单选题


一、单选题(共 20 道试题,共 100 分。)V 1.EAB中RAM的大小可灵活配置,Altera FLEX 10K 系列器件中的EAB作RAM用时,有哪几种配置模式
A. 512x8,1024x4,2048x2,4096x1
B. 256x8,512x4,1024x2,2048x1
C. 256x4,512x2,1024x1
D. 256x16,512x8,1024x4,2048x2
      满分:5分
2.在VHDL语言中,下列对时钟边沿检测描述中,错误的是
A. if clk’event and clk = ‘1’ then
B. if falling_edge(clk) then
C. if clk’event and clk = ‘0’ then
D. if clk’stable and not clk = ‘1’ then
      满分:5分
3.值为“1110”的标准逻辑矢量,进行sll运算后值为____________ 。
A. 1100
B. 1010
C. 1110
D. 0111
      满分:5分
4.在VHDL中,结构体内部是由( )语句组成的。
A. 顺序
B. 并行
C. 顺序和并行
D. 任何
      满分:5分
5.重载操作符的定义一般见于 IEEE 库的哪几个程序包
A. std_logic_arith、std_logic_unsigned、std_logic_signed
B. std_logic_arith、std_logic_unsigned、std_logic_1164
C. std_logic_unsigned、std_logic_1164、std_logic_arith
D. std_logic_1164、std_logic_arith、std_logic_unsigned、std_logic_signed
      满分:5分
6.一个完整结构的结构体由哪两个基本层次组出
A. 数据说明和进程
B. 结构体说明和结构体功能描述
C. 顺序描述语句和并行执行语句
D. 结构体例化和结构体赋值
      满分:5分
7.符合1987VHDL标准的标识符是
A. 2A
B. A+2
C. A_2
D. 22
      满分:5分
8.Xilinx 公司开发的开发软件为
A. ISE
B. ispDesignEXPERT 系列
C. QuartusⅡ
D. MaxplusⅡ
      满分:5分
9.下列关于变量的说法正确的是
A. 变量是一个局部量,它只能在进程和子程序中使用。
B. 变量的赋值不是立即发生的。
C. 在进程的敏感信号表中,既可以使用信号,也可以使用变量。
D. 变量赋值的一般表达式为:目标变量名<= 表达式。
      满分:5分
10.下例程序执行后,X和Y的值分别为 。process(A,B,C) variable D : std_logic; begin D := A; X <= B+D; D := C; Y <= B+D; end process;
A. B+C和B+A
B. B+A和B+C
C. B+C和B+C
D. B+A和B+A
      满分:5分
11.假设变量初值为:a=2,b=4,则以下程序执行后,a和b的值分别为architecture rtl of example is begin process variable a ,b:std_logic; begin a := b; b := a; end process; end rtl;
A. 2,2
B. 2,4
C. 4,2
D. 4,4
      满分:5分
12.下列关于元件例化语句的说法正确的是
A. 位置关联方式与顺序有关,名称关联方式与顺序有关。
B. 位置关联方式与顺序有关,名称关联方式与顺序无关。
C. 位置关联方式与顺序无关,名称关联方式与顺序有关。
D. 位置关联方式与顺序无关,名称关联方式与顺序无关。
      满分:5分
13.字符串型文字X“3B”的长度又为____________。
A. 12
B. 4
C. 8
D. 16
      满分:5分
14.VHDL数据对象有
A. 常量、变量
B. 变量、信号
C. 常量、变量、信号
D. 信号
      满分:5分
15.下面哪种语句不是并行语句
A. wait语句
B. process语句
C. 块语句
D. 生成语句
      满分:5分
16.下面哪种语句不是顺序语句
A. if语句
B. case语句
C. wait语句
D. component语句
      满分:5分
17.VHDL的描述风格有
A. 行为描述、数据流描述和结构描述
B. 行为描述、门级描述和数据流描述
C. 数据流描述、结构描述和版图描述
D. 门级描述、结构描述和版图描述
      满分:5分
18.关于数组A的定义如下:signal A:bit_vector(7 downto 0);那么,A=“00110101”,A(6 downto 5)=_____________。
A. ’00
B. 10
C. ‘01
D. 11
      满分:5分
19.在元件例化语句中,用_______符号实现名称映射,将例化元件端口声明语句中的信号与PORT MAP()中的信号名关联起来。
A. =
B. :=
C. <=
D. =>
      满分:5分
20.Altera FLEX 10K 系列器件主要由以下哪几部分组成
A. CLB\IOB\配置存储单元\可编程互连
B. EAB\LAB\快速通道互连\I/O
C. GLB\全局布线区\输出布线区\加密单元
D. EAB\LAB\GLB\IOB
      满分:5分

页: [1]
查看完整版本: 16春《EDA技术》在线作业3

作业辅导、毕业论文、学业辅导,考试辅导资料,请加qq2762169544(微信:2762169544)